相关题库

咨询

其他联系方式

所属系列课程

评价

状态机,做了一段时间逻辑,遇到复杂的时序逻辑设计时,遇到了瓶颈。于是想到了用“状态机”进行设计。 总结了一些很基础的知识,与大家共享! 以 Verilog 语言为例。 适用人群:FPGA学习 ,入门,基础到案例应用,各大院校以及本科生,研究生